OrCAD 10.5 для начинающих пользователей

№ 3’2006
В 2003–2006 годах автором был опубликован ряд статей [2–10] для опытных пользователей, владеющих системой OrCAD. Целью данной статьи является дать возможность начинающим в короткие сроки освоить эту систему проектирования.

В 2003–2006 годах автором был опубликован ряд статей [2–10] для опытных пользователей, владеющих системой OrCAD. Целью данной статьи является дать возможность начинающим в короткие сроки освоить эту систему проектирования.

Задача данной статьи — познакомить начинающих пользователей со схемотехническим проектированием с помощью системы OrCAD. Основной акцент сделан на процессе проектирования — от создания принципиальной схемы до моделирования проекта в PSpice.

В данной статье мы познакомимся с двумя программами пакета OrCAD: редактором схем Capture и программой цифро-аналогового моделирования PSpice A/D.

Проект full adder, описанный в этой статье, — иерархический проект, который имеет два блока half adder. Half adder — схема логического полусумматора, состоящая из набора вентилей. Она складывает две двоичные цифры и выдает сигнал переноса, однако не прибавляет к сумме возможный разряд переноса от предыдущего суммирования. Чтобы учесть этот разряд, используется второй полусумматор. Таким образом, из полусумматоров образуется схема полного сумматора.

Создание проекта схемы в OrCAD Capture

Создавая новый проект схемы в Capture, рекомендуется следовать следующим правилам:

  1. Необходимо избегать длинных названий путей и названий файлов. Это необходимо для использования вашего проекта другими программами.
  2. Необходимо избегать использования специальных символов для обозначения цепи, узла, проекта или библиотеки. Использование упомянутых ниже запрещенных символов при обозначении цепей может привести к ошибкам процесс составления списка соединений и вследствие этого к срыву процесса моделирования. Запрещенные символы: ?, @, ~, #, &, %, «, !, (), <, =, >, [ ], ∗.

Для создания нового проекта воспользуемся Project Wizard (мастером проектов) редактора схем Capture. Project Wizard обеспечивает структуру для создания любого вида проекта.

  1. Запустим редактор схем Capture

    с помощью кнопки Capture в меню Пуск.

  2. В меню File выберем New/Project.
  3. В диалоговом окне New Project (рис. 1) определим название проекта как FullAdd.
Рис. 1. Диалоговое окно New Project
Рис. 1. Диалоговое окно New Project
  1. Определим тип проекта, выбрав Analog or Mixed A/D.
  2. Определим место, где должны быть созданы файлы проекта, и щелкнем OK.
  3. В диалоговом окне Create PSpice Project (рис. 2) выберем вариант Create a blank project.
Рис. 2. Диалоговое окно Create PSpice Project
Рис. 2. Диалоговое окно Create PSpice Project
  1. Для завершения создания проекта FullAdd щелкнем OK.

В случае, если уже имеется файл схемы проекта (.dsn), который необходимо промоделировать, используя PSpice, нужно создать проект Analog or Mixed A/D, используя команду File/New/Project, после чего добавить имеющуюся схему к проекту.

Проект FullAdd создан. В окне Project Manager создан файл проекта fulladd.dsn. Ниже файла проекта создана папка с названием SCHEMATIC1. Эта папка содержит страницу схемы по имени PAGE1.

Изменим название схемной папки и страницы схемы на HALFADD:

  1. В окне Project Manager щелкнем правой кнопкой мыши на SCHEMATIC1.
  2. Выберем Rename в открывшемся меню.
  3. В диалоговом окне Rename Schematic определим название как HALFADD.
  4. Точно так же щелкнем правой кнопкой мыши на PAGE1 и выберем Rename в открывшемся меню.
  5. В диалоговом окне Page Rename определим название страницы как HALFADD и щелкнем OK. Структура каталога в окне Project Manager после переименования схемной папки и страницы схемы показана на рис. 3.
Рис. 3. Структура проекта в окне Project Manager
Рис. 3. Структура проекта в окне Project Manager

Прежде чем начать процесс создания проекта в OrCAD Capture, можно с помощью шаблона проекта определить характеристики проекта по умолчанию. Шаблон проекта может использоваться для определения по умолчанию шрифтов, размера страницы, штампа, размера сетки и т. д. Для установки шаблона проекта в Capture используется диалоговое окно Design Template. Чтобы открыть диалоговое окно Design Template, в меню Options выберем Design Template.

Опция Design Template определяет характеристики нового проекта, которые могут быть определены для отдельных страниц схемы. Вкладки диалогового окна этой опции изображены на рис. 4.

Рис. 4. Диалоговое окно Design Template
Рис. 4. Диалоговое окно Design Template

Шрифты текстов для различных объектов, помещаемых на схеме, задаются на вкладке Fonts (рис. 4). Надписи углового штампа задаются на вкладке Title Block (рис. 5).

Рис. 5. Вкладка Title Block диалогового окна Design Template
Рис. 5. Вкладка Title Block диалогового окна Design Template

На вкладке Page Size (рис. 6) указывается система единиц, устанавливаемая по умолчанию (дюймы или миллиметры), и размер листа схемы: А, В, С, D, Е (в дюймах), А4, A3, А2, А1, А0 (в миллиметрах) или Custom (размеры задаются пользователем). В поле Pin-to-Pin Spacing указывается минимальное расстояние между выводами компонентов в условном графическом обозначении (УГО) элементов, одновременно этот параметр определяет размер шага сетки.

Рис. 6. Вкладка Page Size диалогового окна Design Template
Рис. 6. Вкладка Page Size диалогового окна Design Template

Параметры рамки вокруг листа схемы задаются на вкладке Grid Reference (рис. 7):

Рис. 7. Вкладка Grid Reference диалогового окна Design Template
Рис. 7. Вкладка Grid Reference диалогового окна Design Template
  • Count — количество граф на рамке по горизонтали и вертикали;
  • Alphabetic — нумерация граф в алфавитном порядке;
  • Numeric — нумерация граф в числовом порядке;
  • Ascending — простановка номеров граф рамки в возрастающем порядке;
  • Descending — простановка номеров граф рамки в убывающем порядке;
  • Width — ширина рамки по горизонтали и вертикали;
  • Border Visible — отображение границ страницы на дисплее (Displayed) и при выводе на печать (Printed);
  • Grid Reference Visible — отображение рамки листа схемы на дисплее (Displayed) и при выводе на печать (Printed);
  • Title Block Visible — отображение основной надписи на дисплее (Displayed) и при выводе на печать (Printed);
  • ANSI grid references — изображение рамки листа схемы по стандарту ANSI.

Параметры, принимаемые по умолчанию при создании новых иерархических блоков (Hierarchical Blocks) и элементов (Parts) указываются на вкладке Hierarchy (рис. 8):

Рис. 8. Вкладка Hierarchy диалогового окна Design Template
Рис. 8. Вкладка Hierarchy диалогового окна Design Template
  • Primitive — примитивные компоненты;
  • Nonprimitive — компоненты с иерархической структурой.
Рис. 9. Вкладка SDT Compatibility диалогового окна Design Template
Рис. 9. Вкладка SDT Compatibility диалогового окна Design Template

На вкладке SDT Compatibility (рис. 9) устанавливается соответствие 8 полей параметров символов компонентов в редакторе схем Capture полям символов компонентов в формате DOS-версии OrCAD Schematic Design Tools (SDT 386+), используемое при сохранении проекта в формате SDT.

Для изменения параметров текущего проекта в меню Options выберите опцию Schematic Page Properties — при этом откроется диалоговое окно, показанное на рис. 10.

Рис. 10. Диалоговое окно Schematic Page Properties
Рис. 10. Диалоговое окно Schematic Page Properties

Это диалоговое окно имеет три вкладки: Page Size, Grid Reference и Miscellaneous. Изменение параметров текущей страницы схемы выполняется на вкладке Page Size. При этом на этой вкладке можно изменить размер схемы и систему единиц и нельзя изменить параметр Pin-to-Pin Spacing. На вкладке Miscellaneous можно только просмотреть информацию о проекте.

Создание плоского (одноуровневого) проекта

Создадим простой плоский проект half adder с входами X и Y и выходами SUM и CARRY (рис. 11).

Для добавления элемента к проекту:

  1. В меню Place в редакторе Capture выберем Part.
  2. В диалоговом окне Place Part (рис. 12) сначала выберем библиотеку, из которой элемент должен быть добавлен, а затем поместим элемент на странице схемы. Вентили,
  1. Точно так же изменим название второго порта на Y.

Замечание: для размещения портов нельзя использовать диалоговое окно Place Part, потому что порты в библиотеке CAPSYM.OLB являются символами, а не компонентами. В диалоговом окне Place Part перечислены только компоненты.

  1. Добавим два выходных порта, как показано на рис. 11. Для этого выберем PORTLEFT-L в библиотеке CAPSYM.
  2. Переименуем порты на SUM и CARRY соответственно.
  3. Сохраним проект, нажав кнопку Save. Проект half adder готов. На следующем шаге создадим проект full adder, который будет использовать проект half adder.

В Capture можно создать иерархические проекты, используя один из следующих методов:

  • Bottom-up method (восходящий метод);
  • Top-down method (нисходящий метод).

Другим методом создания иерархического проекта является разработка элементов или символов для проектов на самом низшем уровне и сохранение символов в определенной пользователем библиотеке. Позже можно добавить такую библиотеку к проекту и использовать ее символы в схеме. Например, можно создать элемент для проекта half adder, а затем вместо иерархических блоков использовать этот элемент на схеме.

В этом разделе мы создадим иерархический проект full adder. Проект half adder, созданный в предыдущем разделе, будет использован как самый низший уровень нового проекта.

Восходящий метод создания иерархического проекта

При создании иерархического проекта с использованием восходящей методологии необходимо выполнить следующие операции:

  • создать проект самого низшего уровня;
  • создать проекты более высокого уровня, которые проиллюстрировали бы в форме иерархических блоков проекты низшего уровня.

В этом разделе мы рассмотрим создание проекта full adder по восходящей методологии. Для этого выполним следующие операции:

  1. Создадим проект в Capture. Необходимые операции приведены в разделе «Создание проекта».
  2. Создадим проект самого низшего уровня. В нашем случае это проект half adder (см. раздел «Создание плоского (одноуровневого) проекта»).
  3. Создадим схему для проекта full adder, которая использует имеющийся проект half adder.

Для создания проекта full adder выполним следующие операции:

  1. В окне Project Manager щелкнем правой кнопкой мыши на fulladd.dsn и выберем New Schematic.
  2. В диалоговом окне New Schematic определим название новой схемной папки как FULLADD и нажмем OK. В окне Project Manager папка FULLADD ниже fulladd.dsn.
  3. Сохраним проект.
  4. Для того чтобы сделать схему full adder корневой схемой высокоуровневого проекта, щелкнем правой кнопкой мыши на FULLADD и в открывшемся меню выберем Make Root. Папка FULLADD переместится вверх, и на ней появится косая черта.
  5. Щелкнем правой кнопкой мыши на FULLADD и выберем New Page.
  6. В диалоговом окне New Page in Schematic определим название страницы как FULLADD и щелкнем OK. Ниже схемной папки FULLADD добавится новая страница FULLADD.
  7. Чтобы открыть ее для редактирования, дважды щелкнем на ее имени.
  8. В меню Place выберем Hierarchical Block.
  9. В диалоговом окне Place Hierarchical Block определим позиционное обозначение Reference как HALFADD_A1.
  10. Определим Implementation Type как Schematic View.
  11. Определим Implementation name как HALFADD и щелкнем OK. Курсор изменится на перекрестие.
  12. Растянем прямоугольник на странице схемы. При этом на странице нарисуется иерархический блок с входными и выходными портами (рис. 17).
Рис. 17. Иерархический блок с входными и выходными портами
Рис. 17. Иерархический блок с входными и выходными портами
  1. Если потребуется, изменим размеры блока. Кроме того, переставим входные и выходные порты на блоке. Для проверки правильности иерархического блока щелкнем на нем правой кнопкой мыши и выберем Descend Hierarchy. Откроется созданный ранее проект half adder.
  2. Поместим другой образец иерархического блока на страницу схемы. Для этого:
    1. Выберем иерархический блок.
    2. В меню Edit выберем Copy.
    3. В меню Edit выберем Paste.
    4. Поместим образец блока в нужном месте. Для копирования и вставки копии блока можно также использовать сочетание клавиш <Ctrl> + <C> и <Ctrl> + <V>.
  3. По умолчанию позиционное обозначение для второго иерархического блока — HALFADD_A2. Дважды щелкнем по позиционному обозначению и изменим его на HALFADD_B1.
  4. Добавим вентиль OR (7432) к схеме, используя диалоговое окно Place Part (рис. 18).
Рис. 18. Соединение иерархических блоков с помощью проводников
Рис. 18. Соединение иерархических блоков с помощью проводников
  1. Для соединения блоков добавим проводники к схеме, для этого в меню Place выберем Wire.
  2. Протянем проводники от всех четырех портов до каждого иерархического блока.
  3. Добавим проводники, чтобы выполнить все подключения, показанные на рис. 18.
  4. Добавим источник сигнала для проекта. В диалоговом окне Place Part нажмем кнопку Add Library, чтобы добавить библиотеку SOURCSTM.OLB к проекту. Эта библиотека расположена в папке /tools/capture/library/pspice.
  5. В Part List выберем DigStim1 и щелкнем OK. УГО элемента приклеится к курсору.
  6. Поместим УГО источников сигнала рядом с тремя входными портами: портом X HALFADD_A1, портами X и Y HALFADD_B1.
  7. Щелкнем правой кнопкой мыши на схеме и выберем End Mode.
  8. Определим значение Implementation property как Carry, X и Y соответственно (рис. 19).
  9. Для добавления выходного порта CARRY_OUT к выходу вентиля OR (рис. 19) нажмем кнопку Place Port.
  10. Выберем CAPSYM в списке библиотек.
  11. В списке УГО выберем PORTLEFT-L и щелкнем OK.
  12. Поместим выходной порт, как показано на рис. 19.
  13. Дважды щелкнем по названию порта и изменим его на CARRY_OUT.
  14. Сохраним проект.
Рис. 19. Полная схема сумматора Рис. 20
Рис. 19. Полная схема сумматора Рис. 20

Добавим биполярный транзистор к порту SUM блока HALFADD_A1. Для этого:

  1. Щелкнем по кнопке Place Part панели инструментов режима редактирования схем.
  2. В диалоговом окне Place Part нажмем кнопку Add Library.
  3. Выберем библиотеки ANALOG.OLB и BIPOLAR.OLB и нажмем Open.
  4. Из списка элементов добавим резистор R. Поместим этот резистор на схеме и подсоединим один конец резистора к порту SUM блока HALFADD_A1 (рис. 20).
Рис. 20. Схема полного сумматора с выходным транзистором
Рис. 20. Схема полного сумматора с выходным транзистором
  1. В библиотеке BIPOLAR.OLB выберем Q2N2222 и поместим его на схему (рис. 20).
  2. Чтобы закончить схему, необходимо добавить коллекторное сопротивление, источник коллекторного напряжения и «землю» (рис. 20).

Для того чтобы добавить источник коллекторного напряжения:

  1. Добавим к проекту библиотеку SOURCE.OLB.
  2. В Part List выберем VDC и щелкнем OK.
  3. Поместим источник напряжения на схеме.
  4. По умолчанию источник имеет напряжение 0 В. Используя Property Editor, изменим его напряжение на 5 В, для чего дважды щелкнем по источнику напряжения.
  5. В окне Property Editor изменим значение параметра DC на 5.
  6. Сохраним и закроем окно Property Editor. Для того чтобы добавить «землю»:
    1. Нажмем кнопку Place ground

      панели инструментов режима редактирования схем.

    2. В диалоговом окне Place Ground выберем библиотеку SOURCE.
    3. Из списка элементов выберем элемент 0 и щелкнем OK.
    4. Поместим УГО «земли» на схеме (рис. 20).
  1. Замечание. Нужно использовать элемент «земли» 0 из библиотеки элементов SOURCE.OLB. Можно использовать любой другой элемент «земли», только если его название изменено на 0.

Поскольку компоненты, использованные в этом проекте, взяты в библиотеке PSpice, можно промоделировать проект, используя PSpice.

Нисходящий метод создания иерархического проекта

При создании иерархического проекта с использованием нисходящей методологии воспользуемся следующей последовательностью операций:

  • создадим проект верхнего уровня, используя функциональные блоки, входы и выходы которых известны;
  • создадим проект схемы для функционального блока, используемого в проекте верхнего уровня.

Кратко рассмотрим операции, которые необходимо выполнить для создания проекта full adder по нисходящей методологии.

  1. Кратко рассмотрим операции, которые необходимо выполнить для создания проекта full adder по нисходящей методологии.
  2. Создадим проект верхнего уровня, для чего сделаем следующее:
    1. В меню Place выберем Hierarchical Block или нажмем кнопку Place hierarchical block

      панели инструментов режима редактирования схем.

    2. В диалоговом окне Place Hierarchical Block определим позиционное обозначение (Reference) как HALFADD_A1, Implementation Type как Schematic View, Implementation name как HALFADD и щелкнем OK.
    3. Повторим операции 9–11 из раздела «Восходящий метод создания иерархического проекта».
    4. Растянем иерархический блок до необходимых размеров. В отличие от иерархического блока, нарисованного по восходящей методологии, он не имеет присоединенных к нему портов.
    5. Выберем иерархический блок и затем в меню Place выберем Hierarchical Pins.
    6. В диалоговом окне Place Hierarchical Pin определим название вывода как X, Type как Input, Width как Scalar и нажмем OK.
    7. Поместим входной вывод, как показано на рис. 21.
    8. Рис. 21. Помещение входного вывода на иерархический блок
      Рис. 21. Помещение входного вывода на иерархический блок
    9. Точно так же добавим другой входной вывод Y и два выходных вывода SUM и CARRY, как показано на рис. 22.
    10. Рис. 22. Иерархический блок с входными и выходными выводами
      Рис. 22. Иерархический блок с входными и выходными выводами
    11. Поместим на схему второй точно такой же иерархический блок. Самый легкий способ сделать это — скопировать существующий иерархический блок и вставить его на страницу схемы. По умолчанию позиционное обозначение второго иерархического блока HALFADD_A2. Изменим это значение на HALFADD_B1 (рис. 23).
    12. Рис. 23. Два иерархических блока, помещенные на странице схемы
      Рис. 23. Два иерархических блока, помещенные на странице схемы
    13. Закончим схему full adder, добавив для этого порты, проводники и источники сигналов (рис. 20). Сохраним проект.
  3. Нарисуем проект низшего уровня. Для full adder проектом низшего уровня является схема half adder. Для того чтобы нарисовать проект half adder:
    1. Щелкнем правой кнопкой мыши на любом из иерархических блоков HALFADD.
    2. В открывшемся меню выберем Descend Hierarchy.
    3. Откроется диалоговое окно New Page in Schematic. Определим название страницы как HALFADD и нажмем OK. Новая страница схемы появится с двумя входными портами X и Y и двумя выходными портами SUM и CARRY (рис. 24).
    4. Рис. 24. Страница схемы с входными и выходными портами
      Рис. 24. Страница схемы с входными и выходными портами

На этой странице схемы теперь можно нарисовать схему half adder, используя операции, описанные в разделе «Создание плоского (одноуровневого) проекта». В окне Project Manager новая схемная папка HALFADD добавлена ниже fulladd.dsn (рис. 25).

Рис. 25. Диалоговое окно Project Manager с добавленной папкой HALFADD
Рис. 25. Диалоговое окно Project Manager с добавленной папкой HALFADD

Создание условного графического обозначения для схемы

Вместо того чтобы создавать иерархический блок для проекта half adder, можно сгенерировать условное графическое обозначение (УГО) для проекта half adder и затем многократно использовать его в любом проекте, когда потребуется.

В этом разделе мы сгенерируем УГО для схемы half adder, которая создана в разделе «Создание плоского (одноуровневого) проекта». Для этого выполним следующие операции:

  1. В окне Project Manager выберем курсором папку HALFADD.
  2. В меню Tools выберем Generate Part.
  3. В диалоговом окне Generate Part (рис. 26) определим местоположение файла проекта, который содержит схему, для которой должно быть создано УГО. Для этого примера проекта определим местоположение fulladd.dsn.
  4. В разворачивающемся списке Netlist/source file type определим исходный тип проекта как Schematic Capture.
  5. В текстовом поле Part Name определим название УГО как HALFADD.
  6. Определим название и местоположение библиотеки, которая будет содержать это вновь создаваемое УГО. Для текущего примера проекта определим название библиотеки как fulladd.olb.
  7. Если необходимо, чтобы исходная схема была сохранена наряду с новым УГО, поставим флажок Copy schematic to library.
  8. Убедимся, что выбрана опция Create new part.
  9. Для определения схемной папки, содержащей проект, для которого должно быть сделано УГО, выберем HALFADD из разворачивающегося списка Source Schematic name.
  10. Нажав OK, сгенерируем УГО HalfAdd. Новая библиотека fulladd.olb будет создана и отображена под папкой Outputs в окне Project Manager. Она также будет добавлена в диалоговом окне Place Part. Можно теперь для добавления схемы half adder к любому проекту использовать диалоговое окно Place Part.
Рис. 26. Диалоговое окно Generate Part
Рис. 26. Диалоговое окно Generate Part

Навигация в иерархическом проекте

Для того чтобы переместиться на более низкий уровень иерархии, щелкнем правой кнопкой мыши на иерархическом блоке и выберем Descend Hierarchy. Точно так же для передвижения вверх по иерархии щелкнем правой кнопкой мыши и выберем Ascend Hierarchy. Опции меню Ascend Hierarchy и Descend Hierarchy доступны и в меню View.

Работая с иерархическими проектами, можно делать изменения в иерархических блоках, так же как и в схемах на самом низшем уровне. Сохранять различные иерархические уровни с изменениями можно, используя опции Synchronize, доступные в меню View. Выберем Synchronize Up, если были сделаны изменения на самом низшем уровне проекта и необходимо, чтобы эти изменения были отражены на более высоком уровне. Выберем Synchronize Across, если сделаны изменения в иерархическом блоке и необходимо, чтобы они были отражены во всех образцах блока. Выберем Synchronize Down, если сделаны изменения в иерархическом блоке и необходимо, чтобы они были отражены в проекте самого низшего уровня.

После создания проекта схемы необходимо добавить информацию для таких задач, как моделирование и разводка печатной платы.

Добавление позиционных обозначений элемента

Для передачи проекта схемы в OrCAD Layout (программу разводки печатных плат) все компоненты в проекте должны быть однозначно определены позиционным обозначением элементов. В Capture можно назначить позиционное обозначение либо вручную, либо при использовании команды Annotate.

В проекте full adder аннотация на данном этапе не требуется, потому что по умолчанию позиционные обозначения элемента присоединены ко всем компонентам. Расстановка позиционных обозначений выполнена автоматически — по умолчанию Capture добавляет позиционное обозначение элемента на все компоненты, помещаемые на страницу схемы. Если требуется, можно отключить эту особенность, выполнив следующие операции:

  1. В меню Options выберем Preferences.
  2. В диалоговом окне Preferences выберем закладку Miscellaneous.
  3. В поле Auto Reference уберем галочку перед командой Automatically reference placed parts.
  4. Чтобы сохранить эти установки, нажмем OK.

Если компоненты в проекте не имеют позиционных обозначений, необходимо выполнить команду Annotate.

Чтобы назначить позиционное обозначение элемента на компоненты в проекте FULLADD с помощью команды Annotate, выполним следующие операции:

  1. В окне Project Manager выберем файл fulladd.dsn.
  2. В меню Tools выберем Annotate

    или нажмем кнопку Annotate на панели инструментов.

Рис. 27. Диалоговое окно команды Annotate
Рис. 27. Диалоговое окно команды Annotate

Диалоговое окно команды Annotate приведено на рис. 27, на нем имеются следующие поля:

  • Scope (возможности):
    • Update entire design — обновить позиционные обозначения и упаковочную информацию всего проекта;
    • Update selection — обновить позиционные обозначения и упаковочную информацию выбранной части проекта.
  • Action (операции):
    • Incremental reference update — обновить позиционные обозначения и упаковочную информацию компонентов, у которых вместо номера проставлен знак вопроса «?», при этом номера компонентов увеличиваются на единицу;
    • Unconditional reference update — обновление позиционных обозначений и упаковочной информации всех компонентов в выбранной области;
    • Reset part reference to «?» — замена номеров компонентов на «?»;
    • Add Intersheet Reference — добавление ссылок на другие страницы;
    • Delete Intersheet Reference — удаление ссылок на другие страницы.
  • Mode (режим):
    • Update Occurrences — обновление параметров всех индивидуальных образцов компонента;
    • Update Instances — обновление параметров компонента и всех ссылок на него.
  • Physical Packaging (автоматическая упаковка компонентов в соответствии с указанными свойствами):
    • Combined property string — строка свойств.
  • Reset reference numbers to begin at 1 in each page — начинать с 1 нумерацию позиционных обозначений однотипных компонентов на каждой странице.
  • Do not change the page number — не изменять номер страницы.
  1. В позиции Packaging диалогового окна Annotate определим необходимость изменения полного проекта или только элемента проекта. Выберем опцию Update entire design.
  2. В поле Actions выберем опцию Incremental reference update.
  3. Проект full adder — сложный иерархический проект. Для него выберем опцию Update Occurrence.

Замечание: Когда выбирается опция Update Occurrence, можно получить предупреждающее сообщение. Игнорируйте это сообщение, потому что для всех сложных иерархических проектов режим Occurrence является предпочтительным.

  1. Для остальных опций примем значения по умолчанию и щелкнем OK для сохранения выбранных установок. Откроется окно Undo Warning message.
  2. Нажмем Yes. Откроется окно отчета с уведомлением, что будет создана аннотация.
  3. Нажмем OK. В проекте будут проставлены позиционные обозначения компонентов, и он будет сохранен. Можно рассмотреть обновленные позиционные обозначения на странице схемы.

Создание отчета Cross reference

Рис. 28. Диалоговое окно Cross Reference Parts
Рис. 28. Диалоговое окно Cross Reference Parts
Рис. 29. Типовой выходной отчет Cross reference
Рис. 29. Типовой выходной отчет Cross reference

Используя Capture, можно создать отчет Cross reference для всех элементов в проекте. Отчет Cross reference содержит такую информацию, как название элементов, их позиционные обозначения и библиотеки, из которых был выбран элемент.

Для генерации отчета cross reference:

  1. В меню Tools выберем Cross References или на панели инструментов нажмем кнопку Cross Reference Parts

    .

  2. В диалоговом окне Cross Reference Parts (рис. 28) выберем опцию Cross reference entire design. Если необходимо сгенерировать отчет Cross reference для специфической схемной папки, выберем перед открытием диалогового окна Cross Reference Parts схемную папку.
  3. В поле Modeвыберем опцию Use Occurrences.
  4. Определим отчет, который необходимо создать.
  5. Если необходимо, чтобы отчет автоматически был выведен на экран, поставим галочку в поле View Output.
  6. Нажмем OK, чтобы сгенерировать отчет. Типовой выходной отчет показан на рис. 29.

Продолжение следует

Литература

  1. Разевиг В. Д. Система проектирования OrCAD 9.2. М.: Солон-Р. 2001.
  2. Златин И. Моделирование на функциональном уровне в OrCAD 9.2 // Компоненты и технологии. 2003. № 3–4.
  3. Златин И. В Монте-Карло с OrCAD 9.2 //Компоненты и технологии. 2003. № 5.
  4. Златин И. Графический анализ результатов моделирования в OrCAD 9.2 // Компоненты и технологии. 2003. № 7.
  5. Златин И. Программа Advanced Analysis и режим анализа Smoke в PSD 15.0 и OrCAD 10.0 //Компоненты и технологии. 2005. № 4.
  6. Златин И. Программа Advanced Analysis и режим анализа Sensitivity в PSD 15.0 и OrCAD 10.0 //Компоненты и технологии. 2005. № 5.
  7. Златин И. Программа Advanced Analysis и режим анализа Optimizer в PSD 15.0 и OrCAD 10.0 //Компоненты и технологии. 2005. № 6, 8.
  8. Златин И. Программа Advanced Analysis и режим анализа Monte Carlo в PSD 15.0 и OrCAD 10.0 //Компоненты и технологии. 2005. № 9.
  9. Златин И. Программа Advanced Analysis и режим анализа Troubleshooting в PSD 15.0 и OrCAD 10.0 //Компоненты и технологии. 2006. № 1.
  10. Златин И. Программа Advanced Analysis и режим анализа Parametric Plotter в OrCAD 10.3 //Компоненты и технологии. 2006. № 2.

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *