Процесс разработки проекта для ПЛИС в пакете Actel Libero IDE.Часть V

№ 2’2009
PDF версия
Компания Actel выпускает многократно программируемые ПЛИС, выполненные по Flash-технологии, и однократно программируемые ПЛИС, изготовленные по технологии Antifuse

Все статьи цикла:

Программирование ПЛИС Actel

Компания Actel выпускает многократно программируемые ПЛИС, выполненные по Flash-технологии, и однократно программируемые ПЛИС, изготовленные по технологии Antifuse (с восстановлением перемычек). Flash ПЛИС программируются на плате через JTAG. Antifuse ПЛИС сначала проходят через специализированный программатор Silicon Sculptor, после чего монтируются на плату. Последняя версия — Silicon Sculptor 3 — позволяет программировать и многократно программируемые Flash ПЛИС.

Программирование Flash ПЛИС осуществляется с помощью FlashPro. Для программирования ПЛИС Antifuse используется программа, имеющая такое же название, как и аппаратный программатор, — Silicon Sculptor.

После того как была успешно сгенерирована и верифицирована «прошивка» для ПЛИС, переходим к программированию микросхемы.

Программа FlashPro

В окне Project flow Libero IDE нажмите кнопку Programming/FlashPro (рис. 1). Откроется окно программы. Если аппаратный программатор правильно подключен к компьютеру, то он появится в списке программаторов. Если этого не произошло, проверьте подключение и нажмите кнопку Refresh/Rescan for Programmers.

Рис. 1. Программа FlashPro
Рис. 1. Программа FlashPro

При первом запуске программы необходимо создать и сконфигурировать проект «прошивки» (рис. 2). Для этого в меню File выберите пункт New project…. В открывшемся окне в поле Project Name введите имя проекта. Если на плате к JTAG подключена только одна микросхема, то выберите Single device. Если необходимо запрограммировать одну или несколько микросхем, включенных на JTAG в цепочку, то выберите Chain. Нажмите кнопку ОК.

Рис. 2. Создание проекта «прошивки»
Рис. 2. Создание проекта «прошивки»

Теперь надо загрузить файл «прошивки». Нажмите кнопку ConfigureDevices и добавьте файл «прошивки». В меню Configuration выберите пункт Load Programming File… и выберите файл «прошивки». После этого на панели программы появится информация о файле и будут доступны действия (стирание, программирование, верификация и т. д.) (рис. 3).

Рис. 3. Окно программатора с загруженным проектом
Рис. 3. Окно программатора с загруженным проектом

Одновременно с программированием ПЛИС для семейств ProASIC3, IGLOO и Fusion можно загрузить данные в интегрированную Flash ПЗУ, а для ПЛИС Fusion можно загрузить «прошивку» и в интегрированный блок Flash ПЗУ. Для этого нажмите кнопку PDB Configuration и на открывшейся панели выберите FlashROM и файлы для программирования одной или двух ПЗУ (рис. 4).

Рис. 4. Подключение файлов «прошивок» интегрированных Flash ПЗУ для программирования
Рис. 4. Подключение файлов «прошивок» интегрированных Flash ПЗУ для программирования

Если в проекте используется Flash ПЗУ, то при программировании партии плат с ПЛИС можно использовать автоматическую сериализацию (рис. 5). В каждой микросхеме из серии в интегрированном ПЗУ по определенному пользователем адресу будет записан уникальный серийный код. Код будет изменяться автоматически на величину, указанную разработчиком.

Рис. 5. Окно настройки серийного кода
Рис. 5. Окно настройки серийного кода

При создании проекта для программирования цепочки ПЛИС необходимо указать все микросхемы, включенные в JTAG-цепочку, и в том порядке, как они подключены. Для этого нажмите кнопку Add Actel Devices или Add Non-Actel Devices, соответственно, для добавления Actel ПЛИС (рис. 6) или микросхем других производителей (рис. 7).

Рис. 6. Добавление ПЛИС Actel
Рис. 6. Добавление ПЛИС Actel
Рис. 7. Добавление микросхем других производителей в JTAG-цепочку
Рис. 7. Добавление микросхем других производителей
в JTAG-цепочку

Для ПЛИС Actel можно выбрать для добавления только микросхему или одновременно указать и файл для программирования. Его можно будет добавить или изменить в дальнейшем. Выберите микросхемы, которые будут программироваться, установив или сняв соответствующие галочки в столбце Enable Device. Для микросхем сторонних производителей необходимо подключить BDSLфайл модели или указать длину IR-регистра JTAG. Порядок микросхем можно изменить, выбрав строчку с соответствующей микросхемой и переместив ее вверх или вниз по списку при помощи стрелочек (рис. 8).

Рис. 8. Настройка проекта для программирования ПЛИС Actel, включенных в цепочку
Рис. 8. Настройка проекта для программирования ПЛИС Actel, включенных в цепочку

Необходимо отметить, что при создании проекта цепочки не поддерживаются файлы для программирования с расширением PDB, которые создаются по умолчанию. Поэтому при создании файла «прошивки» в Designer необходимо выбрать формат STAPL-файлов.

После того как проект успешно создан и добавлены все файлы для программирования, можно переходить к программированию. Набор действий, которые можно выполнить с выбранной ПЛИС, зависит от режима работы. В основном режиме доступны только стирание, программирование и верификация «прошивки». Расширенный режим позволяет выполнить значительно больше действий, включая просмотр информации о ПЛИС и ее состоянии.

Для программирования не требуется предварительно стирать ПЛИС вручную. Все действия, необходимые для выполнения той или иной операции, выполняются автоматически (рис. 9).

Рис. 9. Окно настройки автоматически выполняемых действий
Рис. 9. Окно настройки автоматически
выполняемых действий

В окне лога программы можно посмотреть считанную информацию о ПЛИС или лог выполнения заданного действия.

Выберите действия для каждой микросхемы и нажмите кнопку Run для программирования цепочки или кнопку справа, надпись на которой в режиме программирования одиночной ПЛИС будет зависеть от выбранного действия.

Программа Silicon Sculptor

Программа Silicon Sculptor предназначена для работы с универсальным аппаратным программатором с тем же названием (рис. 10).

Рис. 10. Основное окно программы программатора Silicon Sculptor
Рис. 10. Основное окно программы программатора
Silicon Sculptor

Последняя версия аппаратного программатора — Silicon Sculptor 3 — позволяет программировать ПЛИС всех семейств Actel. Изначально она предназначалась только для работы с однократно программируемыми семействами ПЛИС, выполненными по технологии Antifuse, которые программируются до монтажа на плату. Конструктивно этот вариант выполнен в виде непосредственно программатора, который подключается к компьютеру, и адаптеров, которые можно выбрать в зависимости от семейства ПЛИС и типа корпуса. Последняя версия позволяет программировать микросхемы семейств Flash ПЛИС непосредственно на плате, для чего используется специальный адаптер с кабелем.

Программа Silicon Sculptor достаточно проста в использовании. Сначала надо выбрать тип микросхемы, которая будет программироваться, нажав кнопку Device и выбрав его из открывшегося списка.

После этого загрузите файл «прошивки». Для этого нажмите кнопку Data Pattern. В открывшемся окне нажмите кнопку Open. В новом окне нажмите кнопку Browse… ивыберите файл для программирования. Закройте окна, нажав кнопку ОК.

В основном окне есть ряд закладок. Их количество и название зависит от выбранной микросхемы (рис. 11).

Рис. 11. Закладки для работы:  а) с однократно программируемыми ПЛИС Actel; б) с Flash ПЛИС Actel
Рис. 11. Закладки для работы:
а) с однократно программируемыми ПЛИС Actel; б) с Flash ПЛИС Actel

Для однократно программируемых ПЛИС перед программированием необходимо проверить, «чистая» ли микросхема. Для этого перейдите на закладку Blank и нажмите одноименную кнопку. После завершения операции в окне лога появится результат тестирования. Если проверка завершилась успешно, переходим к программированию. На закладке Program нажмите одноименную кнопку. В окне лога программы проверьте, что операция завершена успешно. Одновременно с программированием можно установить бит защиты, поставив галочку напротив пункта Secure, или сделать это позже на закладке с таким же названием.

Для Flash ПЛИС вместо Blank появятся две закладки — Verify и Erase для верификации и стирания ПЛИС соответственно. Во время программирования можно выполнить весь процесс, включающий в себя стирание, непосредственно программирование, верификацию и установку защиты «прошивки» автоматически, выбрав соответствующие пункты. Для работы с Flash ПЛИС программа Silicon Sculptor имеет значительно меньше возможностей по сравнению с FlashPro. Отсутствует, например, возможность работы с Flash ПЗУ для семейств ProASIC3, IGLOO и Fusion и автоматической сериализации. ПЗУ можно только запрограммировать, добавив код в файл «прошивки» при его генерации. С другой стороны, не надо дополнительно приобретать еще один программатор, а также положительным аспектом может являться программирование и тестирование ПЛИС до монтирования на плату, что особенно актуально для дорогостоящих радиационно-стойких ПЛИС или при поставке заказчику уже запрограммированных ПЛИС, а не файла «прошивки», если надо защитить проект от несанкционированного копирования.

Заключение

В этом цикле статей по интегрированной среде разработки Libero IDE были рассмотрены далеко не все возможности данного пакета. Пакет включает весь необходимый набор программ и инструментов для разработки проектов, их верификации и «прошивки» ПЛИС Actel. Его возможности постоянно расширяются, в том числе и за счет интеграции с другими пакетами, такими как, например, математический пакет MatchCAD. Программы и инструменты постоянно совершенствуются, а библиотека регулярно дополняется новыми готовыми шаблонами и IP-модулями, что позволяет разработчикам быстро и эффективно создавать и отлаживать новые проекты. Это особенно актуально в современных условиях конкуренции на рынке электроники, так как дает возможность создавать все более сложные проекты, при этом значительно сокращая время и затраты на разработку новых продуктов.

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *